! Syntax highlighting patterns for Fortran ! ! INSTALLATION ! ! Load this pattern by starting nedit with: ! ! nedit -import ! ! Then, check that the patterns were loaded correctly, and choose Save Defaults ! from the Preferences menu. The new patterns will now be incorporated into ! your own .nedit file, so the next time you start NEdit, you will no longer ! need to use -import. ! ! These comments will not appear in your ~/.nedit ! nedit.highlightPatterns: Fortran:2:0{\n\ Comment:"^[Cc*!]":"$"::Comment::\n\ Bang Comment:"!":"$"::Comment::\n\ Debug Line:"^D":"$"::Preprocessor::\n\ String:"'":"'":"\\n([^ \\t]| [^ \\t]| [^ \\t]| [^ \\t]| [^ \\t]| [ \\t0]| *\\t[^1-9])":String::\n\ Keywords:"<(?iaccept|automatic|backspace|block|call|close|common|continue|data|decode|delete|dimension|do|else|elseif|encode|enddo|end *file|endif|end|entry|equivalence|exit|external|format|function|go *to|if|implicit|include|inquire|intrinsic|logical|map|none|on|open|parameter|pause|pointer|print|program|read|record|return|rewind|save|static|stop|structure|subroutine|system|then|type|union|unlock|virtual|volatile|while|write)>":::Keyword::D\n\ Data Types:"<(?ibyte|character|complex|double *complex|double *precision|double|integer|real)(\\*[0-9]+)?>":::Keyword::D\n\ F90 Keywords:"<(?iallocatable|allocate|case|case|cycle|deallocate|elsewhere|namelist|recursive|rewrite|select|where|intent|optional)>":::Keyword::D\n\ Continuation:"^( [^ \\t0]|( | | | )?\\t[1-9])":::Flag::\n\ Continuation in String:"\\n( [^ \\t0]|( | | | )?\\t[1-9])":::Flag:String:\n\ } nedit.languageModes: Fortran:.f .f77 .for:::::: ! generated with ./extract_lang.pl version 0.1